CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog generator

搜索资源列表

  1. I2C_Single_Master

    0下载:
  2. I2C Single master written in Verilog Libero Designer core generator.-I2C Single master written in Verilog Libero Designer core generator.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:10070
    • 提供者:roob
  1. reed_solomon_decoder

    0下载:
  2. Reed Solomon Decoder written in Verilog Libero core generator.-Reed Solomon Decoder written in Verilog Libero core generator.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:8267
    • 提供者:roob
  1. UART

    0下载:
  2. General purpose UART written in Verilog Libero core generator.-General purpose UART written in Verilog Libero core generator.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2905
    • 提供者:roob
  1. RX_ASYNC_for_module_UART

    0下载:
  2. Rx Async for module UART written in Verilog Libero Designer core generator.-Rx Async for module UART written in Verilog Libero Designer core generator.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2820
    • 提供者:roob
  1. TX_ASYNC_for_module_UART

    0下载:
  2. Tx Async fpr module UART written in Verilog Libero core generator.-Tx Async fpr module UART written in Verilog Libero core generator.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1693
    • 提供者:roob
  1. buzzer

    0下载:
  2. 基于EP2Q208C8,用Verilog编写的蜂鸣器音乐发生器,蜂鸣器能够根据开关的选择对应地弹奏曲子-Based EP2Q208C8, written using Verilog buzzer music generator, buzzer able to play the song based on the corresponding selection switch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:152938
    • 提供者:yangxianfeng
  1. ahb_master

    1下载:
  2. AHB master system generator in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:9065
    • 提供者:Prashanth R
  1. wave_gen

    0下载:
  2. 波形发生器,可以产生正弦波,锯齿波,方波。Verilog语言编写-Waveform generator, can generate sine wave, sawtooth wave, square wave. Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:771192
    • 提供者:890921
  1. clock

    0下载:
  2. Clock generator code in Verilog for Stop Watch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1064
    • 提供者:Uzair
  1. ILX554B_CPLD

    0下载:
  2. 用CPLD(EMP240T100C5)产生ILX554B的驱动时序,CCD的驱动时序电路程序。用verilog编写。-Drive timing generator ILX554B with CPLD (EMP240T100C5), CCD drive timing circuit program. Written in verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:330436
    • 提供者:刘欢
  1. time60

    0下载:
  2. 一个占用资源很少的时钟产生Verilog代码,值得借鉴-A small footprint clock generator Verilog code, is worth learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:608
    • 提供者:wangzao
  1. NandBuffer

    0下载:
  2. verilog编写,含三路正弦信号发生器,三路数据乒乓缓存模块。乒乓缓存读写控制采用三段式状态机实现。-The project contains a 3-channel sine generator and a 3-channel ping-pong buffer which is written in verilog. The write and read control of buffer is implemented in 3-segment FSM.
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:8358
    • 提供者:shanhuancui
  1. fre_dev_v0.1

    0下载:
  2. 用verilog编写的频率可以控制的三角函数发生器,其中用matlab编写的sine表存入rom中-use verilog making the generator of sine and cosine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-14
    • 文件大小:21655316
    • 提供者:王鹤腾
  1. squa

    0下载:
  2. Verilog语言ISE下实现方波产生和占空比调节-ISE Verilog language implementations under wave generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3215315
    • 提供者:sxx
  1. DDDDDDDDDSSS

    0下载:
  2. FPGA实现DDS正弦波、方波、三角波发生器Verilog程序(已验证)Quartus工程文件-FPGA realization DDS sine, square, triangle wave generator Verilog program (verified) Quartus Project Files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2844804
    • 提供者:wangjiali
  1. vftvdr

    0下载:
  2. 基于FPGA的DDS信号发生器设计,包含Quartus 的工程,打开即可使用,Verilog 语言编写!-The DDS signal generator based on FPGA design, including the Quartus project, open to use, Verilog language! 朗读 显示对应的拉丁字符的拼音 字典- 查看字典详细内容-FPGA design, including the Quartus project, open to use, Ve
  3. 所属分类:assembly language

    • 发布日期:2017-04-30
    • 文件大小:282602
    • 提供者:熊健友
  1. cordic

    0下载:
  2. verilog编写的数字信号发生器NCO用CORDIC方法实现产生sin cos信号,流水线结构,简单实用。-verilog prepared by the digital signal generator NCO using CORDIC method implementation generate sin cos signal, pipelined architecture, simple and practical。
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-12
    • 文件大小:1263
    • 提供者:李斌
  1. uart

    0下载:
  2. 本例程是用verilog硬件描述语言在quaryusII环境下开发的串口通信模块,分为发送模块,接受模块和波特率产生模块。-This routine is verilog hardware descr iption language development environment under quartus II serial communication module, divided into send module, receive module and baud rate generato
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:276749
    • 提供者:PrudentMe
  1. DDS_FPGA

    0下载:
  2. 任意波形发生器FPGA实现,Verilog语言编程,试验板为DE0-Arbitrary Waveform Generator FPGA implementation, Verilog language programming, test panels of DE0
  3. 所属分类:Other systems

    • 发布日期:2017-05-21
    • 文件大小:6134436
    • 提供者:边逸凡
  1. dac_900

    1下载:
  2. DAC900芯片驱动的Verilog语言描述,亲测可用。另外的是FIR滤波代码和DDS波形发生器的代码。既可单独使用,也可以整合在一起。-DAC900 chips driven Verilog language descr iption, pro-test available. Another is the FIR filter code and DDS waveform generator code. Either used alone or can be integrated.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:43189
    • 提供者:唐宏伟
« 1 2 ... 5 6 7 8 9 1011 »
搜珍网 www.dssz.com